Бусад 8962 нийтлэл байна

Одохуй-зорчихуй-ирэхүй-зохицохуй буюу Д.Гантөмөрийн “Аялахуйн философи”

Энэ ном бол философич Д.Гантөмөрийн шинжлэх ухааны докторын зэрэг хамгаалсан бүтээл юм.

Дорнын философи (1-р хэсэг)

Дорнын философийн эх урсгал, түүний “анхны дусал” эртний Энэтхэгээс эхлэх бөлгөө.

ИДИ АМИН

Бөөгийн шашинт удганы хүү, асар том биетэй Иди Амин 16 настайдаа лалын шашинд орж Каква, Нуби зэрэг махчин овгийнхныг түших болов. Колонийн цэрэгт орж боловсролгүй боловч ахисаар түрүүч болжээ. Кэнид малын хулгайтай тэмцэж явахдаа 8 хүний бэлэг эрхтэн огтолж хаясан хэрэгтэйгээр муу нэрээ дуурсгаж эхлэв. Мөн нэгэн тосгоны 20 тариачныг өөрийн гараар цавчиж алав. Тусгаар тогтнол олгохын өмнөхөн элдэв шуугиан үүсгэхгүй гэж шийдсэн англи офицерууд түүнийг Ерөнхий сайд Оботэд хүлээлгэн өгчээ. Мангар том биетэй энэхүү зэрлэг эр лал омгийнхныг татахад хэрэг болно гэж үзсэн Оботэ түүнийг шатгүй дэвшүүлэн хурандаа болгов. Тэрээр удахгүй арми командлах болов. Армиас 2.5 сая фунт стерлинг ор сураггүй алга боллоо гэж нэг өдөр Сангийн сайд нь матахад Сингапур явах гэж байсан Ерөнхий сайд хаашаа мөнгө алга хийснээ ирэхэд нь илтгээрэй гэж Аминд хэлээд нисжээ. Энэ бол төрийн эргэлт хийхийг зөвшөөрсөн “уриалга” байлаа.

А.М.Позднеевын “Монгол дахь буддын сүм хийд, лам хуврагуудын аж байдал, лам нар, ард иргэдийн харилцааны тухай тэмдэглэл” номын тухай

Энэхүү бүтээлийг монгол уншигчдад хүргэхэд чин сэтгэлээсээ туслан дэмжиж, хянан тохиолдуулан, төвөд нэршлүүдийг нөхөж бичсэн түүхийн ухааны доктор Дамдины Сэрдарамд гүн талархал илэрхийлье.

РОМЫН ПАПЫН МОНГОЛД ИЛГЭЭСЭН ЭЛЧ НАР

Энэхүү зарлигийг их Папад мэдтүгэй хэмээн илгээв. Карал нутагт… зөвлөлдөөд хүлцэнгүй байхыг гуйн илгээснийг Танай элч нараас сонсов. Та нар хэлсэн үгээрээ болох аваас их Пап чи манай газар иртүгэй. Бид тэр тухайд засаг зарлиг бүрийг Та нарт сонсгон дагуулъя… Тэнгэрийн хүчинд наран мандах газраас наран жаргах газар бүгдээрээ биднээ заяажээ… Эдүгээ Та нар “бид харьяат болж хамаг эд агуурсаа Та бүхэнд өгтүгэй” хэмээн чи шударгаар хэлбээс зохино. Чи өөрөө албатуудаа тэргүүлэн хамаг улсаа аван, хүлцэнгүй байж хүчээ өгөхөөр иртүгэй… Харин Та нар тэнгэрийн зарлигийг үл даган манай зарлигийг эсэргүүцэх аваас Та нар дайсан болно. Эл учрыг Та нар мэдтүгэй. Харин үүнээс бусаар явбаас юу болохыг бид мэдэх гэж үү, гагцүү Тэнгэр л мэднэ.

ТЭГЭХЭД БИ ҮХЭЖ БОЛОХГҮЙ БАЙСАН ЮМ

Эх хүний хайр нь гүн. Сэтгэх нь агуу. Сэрэх нь гайхамшиг. Тийм учраас тэр хайр юуг ч бий болгож чадна. Тэр хайранд бүх юмс оршдог. Тэр хайранд бүх зүйлс амьдардаг. Тэр хайранд амьгүй юмс амилдаг. Нар, сар, од гаригс, мод, уул ус бүгд түүнтэй ярьдаг. Тэр хайраар хүн өөрөө бүтээгдсэн юм. Тийм учраас ээж бол БУРХАН. Ээж бол ХАЙР. Эхийн хайр МӨНХ.

БУРХАН ХАЛДУН УУЛЫН НЭР

Төв Азийн Монголын өндөрлөгт хэдэн мянган жилийн өмнөөс алтай овгийнхон, түүний дотор протомонгол, прототүрэг угсаатнууд амьдарч байжээ. Гэхдээ энд түрүүлж ирэн нутагшсан нь прототүрэг угсаатнууд болох нь уул усны түрэг нэрсээс харагддаг. Дунхугаас гаралтай Шивэй овгийнхон (Хятад сударт таван шивэй овог байсан гэх ба нэг нь Мон Шивэй гэж бичсэн аж.) Амар мөрөн, Хар мөрөн хавиар нутаглаж байгаад аажмаар баруун тийш нүүсээр Х-XI зууны үед Далай нуураас барууншаа нүүн Хэнтийн нуруунд нутаглажээ. Уул тэгэхэд хэдийнээ Бурхан Халдун нэртэй байж. Хэрэв энэ нэр араб үг бол зөвхөн мани шашины хуврагууд л энэ нэрийг өгсөн болж таарах гээд байна. Тэр үе гэдэг нь Корон судар бичигдээд хэдийнээ 300 жил өнгөрсөн байлаа гэсэн үг.

Хүний уушгиар амьсгалж, хүний ходоодоор хооллоогүйгээс хойш энэ биедээ эзэн болцгооё

Бид өөрсдийгөө эрүүл байлгаж, чанартай амьдрал, боломжийн насжилтыг өөрсдөдөө бий болгох боломжтой. Өнөөдөр монгол хүний үхлийн тэргүүлэх шалтгаан бол зүрх судасны өвчлөл, цусны даралт өвчин. Цаашлаад элэгний хавдар, элэгний хатуурал, ходоодны хавдар, авто замын осол гээд жагсаалт үргэлжилнэ. Яг анзаараад харвал бидний амьдралын хэв маяг, ааш араншин бүгд энд дурайтал байж байх нь тэр.

XXI ЗУУНД БОКАССА ЦӨӨРСӨН

За ингээд дэлхийн хамгийн ядуу орон хаан залах ёслолд хамгаа гөвж гарлаа. Төв Африк нь авахаас алмаазны жаал нөөцтэй, тэр нь мань Бокассагийн бүрэн захиран зарцуулах хувийнх нь хөрөнгө. Хааны тэтмийг хоёр мянган доржпалам шигтгэж хийсэн нь тэр үеийнхээр 5 сая доллароор үнэлэгдэж байлаа. Хааны сандлыг дэвж буй бүргэдийн дүрсээр хийж алтадсан нь хоёр тонн жинтэй болжээ. Суудлыг битүү ирвэсний арьсаар гадарлажээ. Хувцсыг нь Европын хамгийн дээд зэргийн оёдлын газрууд захилгаар бүтээв.

Хөгжлийн хүчний төв

Алдартай брэндийг урлах, дэлхийн олон сая хэрэглэгчдийг удирдах, үнэ цэн шингэсэн бүтээгдэхүүн хийх, хэрэглэгч төвтэй үйлчилгээг зах зээлд гаргах нь ямар чухал бас ямар бахархам гэдгийг хэдхэн хоногийн өмнө БНСУ-ын нийслэл Сеүл хотноо болсон Самсунгийн корпорацийн Galaxy Unpacked 2023 үйл ажиллагаанд оролцоод яс махаараа мэдэрлээ.
#гар утас #самсунг #технологи #ухаалаг төхөөрөмж

ВОЛОДЯ ПУТИН ХҮҮГИЙН ЖИНХЭНЭ НАМТАР

Польшийн зохиолч, сэтгүүлч Кристина Курчаб-Рэдлихийн gordonua.com сайтын ерөнхий редактор Алеся Бацманд өгсөн ярилцлагадаа Владимир Путины жинхэнэ намтар, жинхэнэ эцэг эх нь яагаад түүнийг орхисон тухай, гүрж хойд эцэг нь Путинд хэрцгий ханддаг байсан тухай, анхны эхнэр Людмилатай харилцах харилцааны тухай, сэтгүүлч Артем Боровикийн үхлийн талаар болон ОХУ-ын Ерөнхийлөгчтэй холбоотой олон аллагын талаар ярилцсан байна. https://gordonua.com/ukr/publications/-1643985.html

АМЕРИК МӨРӨӨДӨЛ


MONGOLIAN PEOPLE`S REVOLUTION, 1921

Moscow leaders continued to demand the establishment of a Mongolian state and political institution urgently. This state and political institution had to encompass establishing a party, a provisional government-in-exile, and an army. Shumiatskiy, as was prescribed by Moscow, was to "advise" the Mongols to establish its government, occupy Maimaachin town, and appeal to Russia to liberate Mongolia from the white guards. On March 17, 1921, Shumiatsky sent a strictly confidential letter with a detailed report to Lenin.

ЗӨВЛӨЛТИЙН ЭХ ОРНЫ ДАЙНД АНУ, БРИТАНИ, МОНГОЛ, ТУВАГИЙН ҮЗҮҮЛСЭН ТУСЛАМЖ

Агт морьд бол дайны үед автомашинтай харьцуулахад туулах чадвар сайтай, шатахуун тос хэрэглэдэггүй найдвартайгаас гадна эргээд хүнсний хангалт болж чаддаг сайн талтай. Монголчууд нийтдээ 500 мянга гаруй агт морьд нийлүүлсэн нь тухайн үедээ өөр ямар ч орон нийлүүлэх бололцоогүй их тоо юм. АНУ Улаан армид 54 мянган тонн ноос нийлүүлсэн бол Монгол 64 мянган тонныг нийлүүлжээ. Өөрөөр хэлбэл дайны жилүүдэд СССР-т үйлдвэрлэсэн таван шинель тутмын нэгийг монгол ноосоор хийжээ. Хэдийгээр монгол “лэнд лиз” нь АНУ-ын тусламжтай харьцуулшгүй бага ч хүн амдаа харьцуулахад асар их гэж хэлж болохуйц, нөгөөтэйгүүр цөөхөн монголчуудын үнэнхүү сэтгэлийн бэлэг, тусламж байлаа. Харин Монгол ленд лизийн мөнгө эргэж төлөгдсөн материал олддоггүй.

Эрнест Хэмингуэй "Килиманжарогийн цас"

Тэгтэл Комти, Аруша руу эргэсэнгүй, шатахуун хүрнэ гэж тооцсон бололтой, харцаа доошлуулан газрын гадаргуугаас төдий л өндөрт биш анхны цас аятай хийсэн сарних хөвөн ягаан үүлсийг ажиглан харав. Өмнө зүгээс нүүж буй царцаа юмсанж тэр үүл. Төдхөн онгоц өндөр авч, зүүнийг барин нисэх шиг боллоо. Тэгээд гэнэтхэн харанхуй нөмрөв. Аадрын үүлэн дунд нисээд орчихсон нь тэр байжээ. Хүрхрээн дундуур нисэх шиг, хаашаа л харна аадар цутгана. Үүлэн дундаас гарахад Комти эргэж хараад инээмсэглэн урагшаа зангахад тэр зүгт тэнгэрийн уудмыг эзэгнэн ноёлсон асар өндөр, алтан наран доор цасан цагаанаар гялтганан гялалзаж харах орчныг бүрэн эзэлсэн Килиманжарогийн ам дөрвөлжин орой тодрон үзэгдлээ. Тэрүүхэн мөчид эцсийн зогсоол нь энд байгааг тэр ухамсарлан ойлгов.

1921 ОНЫ АРДЫН ХУВЬСГАЛ

Энэ бүх баримтаас дүгнэхэд: лам хар монгол дээл өмссөн большевик орос цэргүүд нь “10 мянган” гамин цэргийг “400” цэрэгтэй Монголын ардын журамт цэрэг довтлон устгахад хүчин хавсрах гэж ирсэн биш, харин хоёр талын “тулалдаан” жинхэнэ байлдаан болохоос сэргийлэх зорилготой байжээ. Гамин цэргийн ихэнх нь тулалдаан болохоос өмнө большевикуудын хамгаалалтын дор хил даван Троицкосавскад хэдийнээ очсон ба, тулалдаан болж эхлэх үед үлдсэн цөөнхийг нь мөн хамгаалалттайгаар хил гаргасан аж. Хиагтыг чөлөөлөх тулалдаанд хоёр талаас алагдсан шархтсан хүний тухай мэдээ огт байдаггүй.

ОРОСЫН ТӨРИЙН МОНГОЛ УЛАМЖЛАЛ

Энэ нь Монголын эзэнт гүрний баруун хэсэгт Бат хааны байгуулсан Алтан Ордын нийслэл Сарайгаас алдарсан ёс заншлыг русьчууд шууд өвлөн авсантай холбоотой. Монгол хаадын эрх мэдэл үнэмлэхүй байсан. Гэхдээ хаан ялсан цагтаа л захирч байсан, тийм үедээ л хүчирхэг. Харин Ордод гамшиг тохиолдох юм бол шууд түүний буруу болно. Чингэвэл ихэнхдээ төрийн эргэлт гарч, чадваргүй хаан хүчээ алдаж, гол төлөв амь насаа өгнө. Эндээс уламжлаад Орост хүчирхэг удирдагчийн тухай домог улс төр, нийгмийн уламжлалд гүн гүнзгий шингэсэн байдаг. Оросууд I Петр, II Екатерина нарыг биширдэг, тэд Сталины хядлагыг тоодоггүй, харин нацист Германыг ялж, дэлхийн хүчирхэг гүрэн болгосныг нь ихэд үнэлдэг. III Петр, I Павел зэрэг чадваргүй сул дорой удирдагчдад юу тохиолдсон бэ? Яг л Ордтой адилхан. Тэд ордны хуйвалдааны үр төлөөсөнд үхэцгээсэн.

ЮМЖЭЭ ГУАЙ

Хэл судлалын бус мэргэжилтэй, англи хэлтэн инжинер техникийн боловсон хүчин манайд тун цөөн байжээ. Ер нь нам засгаас ч хөрөнгөтний хэл сурахыг төдийлөн таашаадаггүй байв. Хотын намын хорооны хуралд ирж үг хэлсэн Цэдэнбал “манай зарим сэхээтнүүд англи хэл шохоорхох боллоо. Юун түрүүн орос хэлээ төгс сайн сурах нь социализм байгуулж байгаа манай орны хувьд илүү ач холбогдолтой. Хөрөнгөт орны хэл сурч тэрийгээ юунд ашиглах гээд байгаа юм!” гэж анхааруулж байсан.

Дэнжээ эгч

Дэнжээ эгч маань Сэлэнгэ аймгийн нэрийн хуудас болж олон ч жил явсан даа. Аймгийн Ардын депутатуудын хурлын депутатаар, аймгийн Намын Хорооны гишүүнээр олон ч удаа сонгогдсон. БНМАУ-ын Ардын Их Хурлын депутат, МАХН-ын их хурлын төлөөлөгчөөр сонгогдон нийслэлд ирэх бүртээ манайд буудаг, өргөмөл охиндоо эмээ минь, аав ээж минь хайртай, тийм л дурсамж надад үлдэж дээ. Ээжтэй маань авахуулсан цэл залуугийн зургийг би нандигнан хадгалдаг.

ХУТАГТ АЛТАНГЭРЭЛТ БУЮУ СУДРЫН АЙМГИЙН ДЭЭД ЭРХЭТ ХААН ХЭМЭЭХ ИХ ХӨЛГӨН СУДАР ОРШИВОЙ

Гаслал үгүй. Зовлон үгүй. Гутамшиг үгүй. Шунал үгүй. Мунхаг үгүй. ... Жаргал төгөлдөр. Амгалан төгөлдөр. Эд баялаг төгөлдөр. Энэ биеийг амьтан бүхэн олох болтугай хэмээн зарлигласан. Дияан тэргүүлсэн. Эрдэм ном, энэрэх ёс тэргүүлсэн. Номын ёсыг амьтан бүхэн олох болтугай. ...

ФРАНЦЧУУД БИДЭНД ЭЛЭГТЭЙ, ХАРИН БИД…

Монголын эртний нийслэл Хархорумыг малтан судлаад ерөнхий төрхийг нь гаргаж ирэхэд цагтаа дэлхийн нийслэл гэгдэж байсан Төв Азийн цээжин дэх энэ хотын яг төвд нь сүндэрлэх баганан модны оройд загалмайн шашны нэгэн бэлгэ тэмдэг болсон далавчтай архангелийн бүрээ үлээж байгаа том баримал байрладаг байжээ. Парисын их мастер Вильгельм Гильмом Бушьегийн Мөнх хаанд зориулан урласан энэхүү мөнгөн модот оргилуур нь дээрээ дөрвөн могойтой ба тэдгээрийн тус болгоны амаар цорго гарч, доорх тоссон дөрвөн тогоонд дөрвөн амттан болох архи, дарс, айраг, бал гоожуулна. Рүбрүк энэ гайхамшигт бүтээлийг нүдээр үзсэн төдийгүй дархан Вильгельмтэй нь уулзаж учирч байв.

THE 14th DALAI LAMA , THE 11th PANCHEN LAMA, AND THE 9th JEBTSUNDAMBA

Now, 26-year-old Panchen Lama is the deputy chairman of the Chinese Buddhist Association. However, the Dalai Lama's institution disapproves and firmly opposes it. As for the devotees who follow the Gelug school of Tibetan religion, there are places like Mongolia, Bhutan, in China-Inner Mongolia, Tibet; in Russia-Kalmyk, Buriat, Tuva,; in India-Ladakh,; in Nepal-Shirva. None of them allow New Panchen Lama. Last year, the Embassy of China in Mongolia met with some leaders of the Buddhist community in Mongolia. It proposed cooperation and exchange between the religious organizations of the two countries through cultural exchanges. At the invitation of the Chinese side, some representatives of the Mongolian religion visited the Panchen Lama during their visit to Beijing. However, none of the Gandan Monastery representatives, the main temple in Mongolia, participated in the event.

ТОМ ХҮНИЙ ЮМ БИЧИВ

Хувь хүний хариуцлагатай байж, хэрсүү оршин тогтнох юмсан
#хариуцлага #хэрсүү

ХУТАГТ АЛТАНГЭРЭЛТ БУЮУ СУДРЫН АЙМГИЙН ДЭЭД ЭРХЭТ ХААН ХЭМЭЭХ ИХ ХӨЛГӨН СУДАР ОРШИВОЙ

Гаслал үгүй. Зовлон үгүй. Гутамшиг үгүй. Шунал үгүй. Мунхаг үгүй. ... Жаргал төгөлдөр. Амгалан төгөлдөр. Эд баялаг төгөлдөр. Энэ биеийг амьтан бүхэн олох болтугай хэмээн зарлигласан. Дияан тэргүүлсэн. Эрдэм ном, энэрэх ёс тэргүүлсэн. Номын ёсыг амьтан бүхэн олох болтугай хэмээн зарлигласан.

ХУТАГТ АЛТАНГЭРЭЛТ БУЮУ СУДРЫН АЙМГИЙН ДЭЭД ЭРХЭТ ХААН ХЭМЭЭХ ИХ ХӨЛГӨН СУДАР ОРШИВОЙ

Хүү минь. ​Охин минь. Хэлэх үгээ нам дуугаар хэл. Зөв талаас нь бодож хэл гэсэн үг. ... Бусдыг буруутгаж битгий ярь. Буулт хийхэд бэлэн бай гэсэн үг. ... Дуугүй суу. Доошоогоо газар ширт гэсэн үг биш. Хэзээ чи ярьж эхлэх. Хэдүйд дуу үгүй суухыгаа мэддэг бай гэсэн үг. ... Юмыг битгий их тоо гэсэн үг. ... Юмс бүгдээрээ хоосон байдаг юм гэдгийг санаж яв гэсэн үг. ...

ЯВЛЯЕТСЯ ЛИ СОВРЕМЕННЫЙ КИТАЙ НАСЛЕДНИКОМ ДИНАСТИИ ЦИН?

Думать, что «Поднебесная - главная держава в мире, а все окружающие - ее сателлиты» - это то же, что германцы, турки и русские объявили себя правопреемниками Римской империи. Это не соотвествует международному праву и крайне сомнительно с политической, социальной и культурной точки зрения.

Ухаалагаас оюунлаг ертөнц рүү

5G технологи нь МУ-д бусад орноос хоцорч, 2023 оны сүүлээр, эсвэл 2024 оны эхээр нэвтрэх ажээ. Хоцорч буйн гол шалтгаан нь Монгол шиг жижигхэн зах зээл дээр 4-5 оператор байгаа тул шинэ технологи нэвтрүүлэх тус бүрийн зардал нь том оронтой харьцуулашгүй өндөр юм.